16

2024-05

当前位置: 网事范文网 > 作文大全 >

无线基站数字中频模块中NCO的设计与实现

| 来源:网友投稿

摘要:介绍了基于查找表的数控振荡器(NCO)的工作原理和设计步骤,然后在 FPGA 开发软件 System Generator环境中实现了NCO,并给出了仿真结果及其在数字中频模块中的应用。

关键词:数字中频 数控振荡器 FPGA 相位累加器 查找表

中图分类号:TN911.7 文献标识码:A 文章编号:1007-9416(2014)05-0062-02

1 无线基站数字中频模块及NCO

当前无线基站的设计多采用软件无线的方案,其中数字中频技术是该方案的关键技术。

数字中频由FPGA实现,数控振荡器(NCO)以其高精度的频率输出,方便的数字控制特性,在实现诸如 I/Q通道混频器、FSK/PSK调制器、数字下变频器等模块中,得到了广泛的应用。

2 基于查找表的NCO设计的原理及步骤

2.1 设计原理

基于查找表的实现形式最初是由Tierney,Rader和Gold提出,基本结构是一个相位累加器和存储了正弦值(余弦值)的ROM查找表。NCO的相位地址累加器根据参考时钟Fclk对频率控制字M进行累加,截取累加器输出的高Y位对ROM进行寻址,寻址的输出值即为NCO的正弦或余弦值。

从(图1)可以看到NCO的工作过程如下:每来一个时钟脉冲Fclk,N位累加器将频率控制字M与相位寄存器输出的累加相位数据相加,相加后的结果送至相位寄存器的输入端。相位寄存器一方面将在上一时钟周期作用后所产生的新的相位数据反馈到累加器的输入端,以使累加器在下一时钟的作用下继续与频率控制字M相加;另一方面将这个值作为查找地址值送入相位/幅度转换电路(即图1中的正(余)弦查找表),相位/幅度转换电路根据这个地址输出相应的波形数据。累加器在基准时钟的作用下进行线性相位累加,当相位累加器加满时产生一次溢出,完成一个周期,这个周期也就是NCO信号的频率周期。

频率分辨率定义为:Fout=Fclk/Y。可见,通过设定相位累加器位数N、频率控制字M和基准时钟的值,就可以产生任一频率的输出。

2.2 设计步骤

2.2.1 基于f可确定的参数

NCO输出频率f由频率分辨率fmin和频率控制字M决定,f=M*fmin。在系统设计时,一般基准时钟Fclk已确定,给出的设计需求为频率分辨率和输出频率可调范围。根据频率分辨率的需求,由Fclk/fmin可以确定查找表的长度L,进而根据log2L并向上取整确定相位累加器的位宽N。根据可调范围,可以确定频率控制字的位宽。

2.2.2 基于φ可确定的参数

任何周期性的波形相位和幅度都是一一对应的,如果把相位信息对应地址,幅度对应数据,则这种关系适合采用查找表(LUT)。LUT由ROM构成。相位φ(n)和整数n之间的关系如下:

这样,相位和幅度的对应关系正好是正弦函数的三角对应关系。

在NCO设计中,相位噪声不是大的问题,而杂散是主要问题。杂散由两个方面引起,即量化误差和DAC误差。NCO的杂散性能的改善可采用下列措施[2]:

(1)增加DAC的位数,有效位每增加一位,NCO的输出杂散电平将改善6dB;

(2)增加有效相位位数,每增加一位,NCO输出杂散电平将改善8dB;

(3)设计性能良好的低通滤波器,最大限度地虑除第一个混淆信号。

由以上几点可知,在FPGA设计中,增加采样点的有效数据位数可以大大改善杂散电平。比如采用18bit(1个符号位,17个有效数据位)来表示一个采样点,则杂散电平可以改善136dB。数据位数的增加,带来的是存储容量的增加。如采样点为1024,数据宽度取18bit,则总的存储空间为1024*18bit=18Kbit。

3 NCO的FPGA实现

3.1 system generator介绍

System Generator是Xilinx公司进行数字信号处理开发的一种设计工具,它通过将Xilinx开发的一些模块嵌入到Simulink的库中,可以进行定点仿真,并可以生成HDL文件或网表。由于system Generator集成于matlab软件,因此非常适合进行信号处理相关的FPGA开发。

3.2 NCO的设计实现

现需要设计一NCO,频谱分辨率为100KHz,输出频率范围为-12.5MHz~12.5MHz,已知系统时钟Fclk为52MHz,根据上文给出的设计方法,可以得到,查找表的长度为520,因此查找表的地址位宽为10位,累加器的位宽也为10位,该设计中由于查找表的长度不等于2的N次幂,因此,累加器的输出地址要做相应的逻辑处理才能作为查找表的地址,同时累加器的内部位宽也调整为11。由于选用的FPGA芯片RAM资源比较丰富,因此该设计中将正弦表和余弦表的数据放于同一ROM中,因此查找表的地址变为11位。

(1)首先,利用Matlab脚本产生正弦表和余弦表。

(2)在simulink环境中利用system generator提供的基本FPGA模块搭建NCO模型。其中,主要模块为相位累加器Phase_Acc_Mod及查找表NCO_ROM,NCO_ROM在初始化的时候,调用1)中系数nco_rom。

3.3 仿真结果

由于集成于matlab,因此system generator易于仿真。将输入端nco_in频率控制字设置为-50,则在输出端观测生成的正弦和余弦时域波形,同时在matlab画出其频域图(图2)。可以看到生成了的频谱位于-5MHz的位置。

3.4 在中频模块中的应用

NCO模块应用于多个中频处理模块的子模块中,在I/Q通道混频器中完成多载波的频率综合;在FSK/PSK 调制器中完成频率和相位调整;在数字下变频器中完成载波的提取。

将NCO模块应用在I/Q通道混频器中,通过对NCO的频率控制字的配置,NCO可以将输入的载波信号,搬移至频率控制字指定的频点。(图3)所示即为两路GSM载波,分别被搬移到了1M和-5M的频点上。

4 结语

本文讨论了无线基站数字中频模块中基于FPGA的NCO的设计和实现,利用Xilinx公司的System Generator工具完成模块的设计,通过调整设计参数,该模块可以灵活的运用在中频模块的多个子模块中。

参考文献

[1]J.Mitola. The Software Radio Architecture. IEEE Communication Magazine[J].1995,33(5):26-38.

[2]陶等高,王勇,易克初.基于FPGA实现的NCO及其应用[J].空间电子技术,2005(3):32-36.

推荐访问:中频 基站 模块 数字 设计

最新推荐New Ranking

1聘用合同范本大全19篇

聘用合同范本大全第1篇甲方(聘用单位):住所:乙方(受聘人):住所:身份证号码:甲、乙双方根据《中华...

2结婚纪念日感言大全12篇

结婚纪念日感言大全第1、每一年的结婚纪念日,我都会感谢你,给我这份节日的权利,给你带来幸福和感动...

32023年小学二年级作文评语8篇

小学二年级作文评语第1、朴实自然的童心体现在文中,使文章散发着清新活泼的气息。2、这篇文章以具体...

4小组评语大全10篇

小组评语大全第1篇该同学在实习期间一贯积极主动,认真学习业务知识,在很短的时间里就掌握了工作的要...

52023年度工厂岗位职责大全

工厂岗位职责大全第1篇保证生产工艺满足工厂内生产的正常运行。进行工艺改进,实施工艺规程及ODS的标...

62023年度对员工评语大全(2023年)

对员工评语大全第1 工作认真刻苦,服务态度非常好,使经理在xxx的时候没有后顾之忧;工作积极,热情周...

7小学六年级评语大全17篇(全文完整)

小学六年级评语大全第1、这学期,你的胆子大了,声音亮了,课堂回答问题的小手举得高了,这是多好的现...

82023年学生个人总结范本大全11篇(全文)

学生个人总结范文大全第1篇在思想方面,首先我端正了学习态度,认识到大学仍需付出极大的努力用功学习...

9保险承诺书范本大全(完整)

保险承诺书范文大全第1篇保险公司目标承诺书篇一:我是,请大家为我见证:作为团队的一名营销主管,我...

10小学生观后感作文23篇

小学生观后感作文第1篇一直以来,我对于漫威的电影都处在感官上的刺激阶段,对于它所要创造出来的宇宙...